Welcome![Sign In][Sign Up]
Location:
Search - verilog pci

Search list

[Embeded-SCM DevelopPCI02.zip

Description: PCI的VERILOG实现源代码
Platform: | Size: 6090028 | Author: | Hits:

[Embeded-SCM Developpcit32_lattice

Description: PCI接口的Verilog源代码-PCI connection Verilog source code
Platform: | Size: 397335 | Author: 包盛花 | Hits:

[Other resourcepcit32_verilog_lattice

Description: 本文件是pci的verilog源代码程序-pci the Verilog source code procedures
Platform: | Size: 430315 | Author: 王立华 | Hits:

[Other resourcepcirtl

Description: 用verilog编写的pci——rtl级。-using Verilog prepared by the pci -- rtl level.
Platform: | Size: 197773 | Author: | Hits:

[Embeded-SCM Develop1091516677

Description: PCI总线仲裁参考设计Verilog代码-PCI bus arbitration reference design Verilog code
Platform: | Size: 3673 | Author: 熊熊 | Hits:

[Other resourcePCI_Bridge_Guest_UART

Description: 这是用pci-wishbone核和16450串口核在xilinx的fpga上实现的串口程序,用verilog实现,ise7.1,不知道这里可不可以上传硬件的程序~-pci-wishbone nuclear and nuclear Serial 16,450 in the TP xilinx They achieved a serial program, verilog realization ise7.1. Can here do not know the procedures upload hardware ~
Platform: | Size: 8428033 | Author: heartbeat | Hits:

[Other resourcepci_core_verilog

Description: PCI-master的核,verilog语言,经测试,可完成芯片的综合及布线
Platform: | Size: 216538 | Author: 伊路发 | Hits:

[Other resourcetstbench

Description: pci 接口协议 用Verilog编写,经过测试使用,与大家共享
Platform: | Size: 15163 | Author: hanbing | Hits:

[Embeded-SCM Developpci_uart_parity

Description: uart pci 等verilog hdl 代码
Platform: | Size: 7534 | Author: skdk | Hits:

[DocumentsFPGA_ASIC设计资料 代码集合

Description: FPGA_ASIC设计资料 代码集合-FPGA_ASIC design information source pool
Platform: | Size: 4000768 | Author: 李强 | Hits:

[VHDL-FPGA-Verilogpcit32_verilog_lattice

Description: 这个我也太清楚是什么 反正师兄们说有用 发大家-I am also very clear that what is useful anyway, say senior U.S. fa
Platform: | Size: 430080 | Author: wang | Hits:

[Embeded-SCM Developpcisim.tar

Description: 此代码用于生成测试PCI设备的Verilog代码(Verilog代码为一种硬件描述语言)。此代码可以直接运行于LINUX下。-This code used to generate test PCI devices Verilog code (Verilog code for a hardware description language). This code can be directly under the running on LINUX.
Platform: | Size: 69632 | Author: 任捷 | Hits:

[VHDL-FPGA-Verilog10pci_host

Description: 基于Verilog Hdl的PCI控制代码-Verilog Hdl the PCI-based control code
Platform: | Size: 207872 | Author: wxd | Hits:

[VHDL-FPGA-VerilogPCI-MINI

Description: pci 32位33M的从设备接口的实现源代码,使用verilog语言设计的,对设计自己的pci软核很有参考价值。-pci 32 位 33M slave device interface source code, using verilog language design, the design of their pci soft core of great reference value.
Platform: | Size: 290816 | Author: liangwei | Hits:

[VHDL-FPGA-VerilogFormal-Verication-of--the-PCI-Local-Bus

Description: Formal Verication of the PCI Local Bus Using Verilog-Formal Verication of the PCI Local Bus Using Verilog
Platform: | Size: 14336 | Author: webking | Hits:

[VHDL-FPGA-Verilogpci

Description: pci总线的verilog描述,包含向量名定义,顶层设计等等的精确描述-usb clock verilog description, including the vector name is defined, an accurate description of the top-level design, etc.
Platform: | Size: 206848 | Author: micheal zhang | Hits:

[Com PortPCI

Description: PCI协议代码,verilog 代码,前端实现
Platform: | Size: 428032 | Author: 斯莫马 | Hits:

[OtherThreadPoolComposer-2016.03.tar

Description: A library for composing verilog modules; PCI interface
Platform: | Size: 461824 | Author: isaac172106 | Hits:

[VHDL-FPGA-Verilogpci9504

Description: Verilog 语言编写 PCI9054 控制器的接口电路,实现 PCI总线到本地 8 位总线的转接控制(The Verilog language writes the interface circuit of the PCI9054 controller to realize the transfer control of the PCI bus to the local 8 bit bus)
Platform: | Size: 20480 | Author: jcg17 | Hits:

[Embeded-SCM DevelopPCI_LED_RUN

Description: 通过PCI接口实现对灯的控制,采用verilog编程(Realizing the control of the lamp through the PCI interface, using Verilog programming)
Platform: | Size: 2241536 | Author: win1234 | Hits:
« 1 2 3 45 »

CodeBus www.codebus.net